Nel corso di questa ultima primavera, a marzo, il Ceo di Intel, Pat Gelsinger, ha svelato la strategia Idm 2.0 dell’azienda. Un percorso volto a potenziare produzione, progettazione e fornitura di chip, qualificato dall’evoluzione del modello Integrated Device Manufacturing (Idm, appunto). Il piano prevede significativi piani di espansione della produzione, investimenti di circa 20 miliardi per nuove fabbriche, e in quell’occasione Gelsinger ha voluto porre l’accento “su profondità e ampiezza nella capacità di offerta di diverse piattaforme, packaging, varietà di processi per abbracciare di fatto i bisogni di un’ampia varietà di clienti e partner”.

Capacità di produzione su larga scala e per la maggior parte internamente (1), innovazione di processo e di packaging come elementi di differenziazione, in grado di consentire maggiori “combinazioni” per soddisfare esigenze specifiche (2), e la capacità di operare in sinergia con le “foundry” di terze parti (3), alcuni dei pilastri di questo approccio, con l’impegno diretto di Intel per esempio attraverso Intel Foundry Services (mettendo a disposizione anche di clienti esterni esperienza e fonderie e di fatto entrando nel mercato della produzione di wafer per terze parti). Questo in un contesto tutt’altro che semplice, considerata la crisi per la carenza dei chip la cui ombra, anche per Pat Gelsinger, Ceo di Intel, potrebbe arrivare a proiettarsi fino al 2023, ma che comunque oggi mette sotto pressione i mercati, e a cui Intel potrebbe dare comunque una “scossa” in relazione alle mosse per una possibile acquisizione di GlobalFoundries

E’ la traccia che fa da sfondo all’intervento estivo del Ceo, in occasione di Intel Accelerated, relativo proprio alle innovazioni di processo e packaging.

Intel - Innovazioni nel tempo
Intel – Innovazioni nel tempo

Intel presenta quindi, nel cuore di luglio, un’impegnativa roadmap di processo e tecnologie di packaging con una serie di radicali innovazioni alla base dello sviluppo di soluzioni da qui al 2025 e oltre. Tra le più importanti: RibbonFet, come architettura di transistor (su oltre 10 anni), e PowerVia, la prima soluzione di alimentazione attraverso il backside nel settore con Intel che adotta quindi la litografia Extreme Ultraviolet (Euv) denominata High Numerical Aperture (High NA) Euv

Pat Gelsinger, Ceo Intel, illustra la strategia Idm 2.0
Pat Gelsinger, Ceo Intel, illustra la strategia Idm 2.0

Pat Gelsinger offre la visione specifica sui singoli avanzamenti tecnologici, ma vuole anche evidenziare quella di livello superiore, più ampia: Il programma di sviluppo tecnologico non si ferma ai transistor ma è individuabile fino a livello di sistema”. Con un “paradosso”. “Finché non sarà esaurita la tavola periodica degli elementi – aggiunge infatti Gelsinger –  non smetteremo di perseguire la Legge di Moore nel nostro percorso di innovazione con la magìa del silicio”.

Senza rinunciare alla necessaria semplificazione, soprattutto per quanto riguarda le nomenclature. Tema iniziale anche dello speech del Ceo che propone, in occasione di Accelerated, anche una nuova denominazione per i nodi di processo (basata sui nanometri) per un quadro più chiaro anche agli occhi degli utenti finali e proprio in relazione al lancio di Intel Foundry Services“Le innovazioni che mostriamo – prosegue Gelsingernon abiliteranno solo la roadmap di prodotto di Intel, ma saranno anche importanti per i clienti delle foundry.

Quindi con Intel 7 si indicherà un aumento di prestazioni per Watt compreso tra il 10% e il 15% circa rispetto a Intel SuperFin a 10 nm, in base alle ottimizzazioni dei transistor FinFet (si parla del primo trimestre 2022); Intel 4 per cui i primi prodotti sono previsti per il 2023 (per esempio Meteor Lake lato client e Granite Rapids lato data center) abbraccerà la litografia Euv per stampare elementi di dimensioni ridotte utilizzando luce a lunghezza d’onda ultracorta, con un incremento delle prestazioni per Watt intorno al 20%, così come Intel 3 fornirà un incremento delle prestazioni per Watt rispetto a Intel 4 del 18% con i prodotti pronti per la seconda metà del 2023).

Intel - La semplificazione della nomenclatura e il cambio di passo con
Intel – La semplificazione della nomenclatura e il cambio di passo con Angstrom

Nuova architettura a transistor, non cambiava dal 2011

Salto “quantico” invece quando con l’inaugurazione dell’era Angstrom e Intel 20A che determinerà il primo vero cambio architettura a livello di transistor per Intel dal 2011 con l’introduzione di RibbonFet e quindi la presenza di un transistor con gate su tutti i lati, con relativi vantaggi per quanto riguarda la commutazione dei transistor a parità di corrente di azionamento rispetto ai chip con molteplici alette, guadagnando comunque una riduzione di ingombri.

Ann Kelleher, Intel Corporate Vice President
Ann Kelleher, Intel Corporate Vice President

Mentre con PowerVia Intel introdurrà l’alimentazione dal retro, che ottimizza la trasmissione del segnale eliminando la necessità di far passare l’alimentazione al lato anteriore del wafer (siamo al 2024). Intel collaborerà inoltre con Qualcomm proprio con queste tecnologie di processo (e Qualcomm dovrebbe essere il primo cliente Intel 20A).

Dal 2025 invece i perfezionamenti RibbonFet offriranno migliori prestazioni dei transistor così “lette” da Ann Kelleher, senior vice president e general manager of Technology Development di Intel: “Abbiamo guidato la transizione verso il silicio a 90 nm, verso i gate metallici ad elevata costante dielettrica (high-k) da 45 nm e verso il FinFet a 22nm. Intel 20A rappresenterà un nuovo passaggio epocale nella tecnologia di processo con due innovazioni rivoluzionarie: RibbonFet e PowerVia”.

Intel, roadmap e novità per il packaging

Già messa in evidenza in occasione della presentazione della strategia Idm 2.0, a marzo, l’importanza dei miglioramenti del packaging saranno vitali anche per continuare a poter rimanere nel solco delle più elevate prestazioni promesse. E’ possibile riassumere la roadmap in questo modo, tenendo sempre presente che le innovazioni sono state sviluppate principalmente negli stabilimenti di Intel in Oregon e Arizona, consolidando il ruolo dell’azienda come unico attore all’avanguardia avente sia attività di ricerca e sviluppo che di produzione negli Stati Uniti, ma anche un ecosistema ricco di partner negli Usa ed in Europa per portare le innovazioni dal laboratorio in produzione di massa. Due le “famiglie” di packaging che accompagneranno l’evoluzione promessa da Intel Emib e Foveros.

PowerVia e RibbonFet
PowerVia e RibbonFet

Con Emib (Embedded Multi-die Interconnect Bridge) sarà commercializzato come prima proposizione Sapphire Rapids (Xeon per i data center), mentre Foveros sfrutterà la capacità di packaging a livello di wafer per fornire una soluzione di 3D stacking unica nel suo genere (Meteor Lake rappresenterà l’implementazione di seconda generazione di Foveros in un prodotto client).

La nuova generazione di tecnologia Foveros esordirà con Omni e flessibilità illimitata con la tecnologia di 3D stacking ad alte prestazioni per interconnessione die-to-die e prodotti modulari (in produzione di massa nel 2023), ed infine con Foveros Direct si passerà all’unione diretta rame-rame per interconnessioni a bassa resistenza e rendere più labile il confine tra dove finisce il wafer e dove inizia il package. Come proposizione complementare di Foveros Omni, Foveros Direct è previsto per il 2023.

Intel - Evoluzione del packaging
Intel – Evoluzione del packaging

© RIPRODUZIONE RISERVATA

Condividi l'articolo: